CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - system generator

搜索资源列表

  1. ResearchandPracticeforNonlinearModelingofSwitchedR

    0下载:
  2. 开关磁阻电机作为一种电动调速系统具有结构简单坚固、低成本、高容错性能以及高速运行的能力,目前它越来越广泛的应用于航空和汽车上的起动/发电系统,具有很大的潜力。要对开关磁阻电机进行深入的理论分析,就需要对其建立一个准确而又有实用性的模型。而 MATLAB 软件具有强大的矩阵和数学计算功能,利用它的模块之一 SIMULINK 建模有着界面友好、易于进行参数修改等特点。国内利用 MATLAB 软件对开关磁阻电机进行建模主要分两大类:一部分是采用 M 文件编程的方法 另一部分是利用开关磁阻电机的线性电感
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:974013
    • 提供者:王明
  1. delphi

    1下载:
  2. 含分布式电源潮流计算delphi代码 第1章 系统简介 4 1.1 系统概述 4 1.2 系统目标 4 1.3 适用范围 4 1.4 系统特点 5 第2章 软件安装 6 2.1 运行环境 6 2.2 数据库配置及安装 6 第3章 软件模块展示 10 3.1 窗体结构视图 10 3.2 模块功能介绍 10 3.3 系统登录主界面 11 3.4 算例文件管理界面 12 3.5 数据手动录入界面 17 3.6 潮流计算组件界面 24 3.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-29
    • 文件大小:8816640
    • 提供者:caixuewen
  1. Card-bals

    0下载:
  2. 基于网卡的某型声呐目标模拟系统的设计Card-based simulation of a certain type of sonar system design goals-Card-based simulation of a certain type of sonar system design goals
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:534103
    • 提供者:joe5253
  1. wind-power-generation-system

    2下载:
  2. 有关风力发电系统的文章,包含发电机的设计,整流逆变电路-Articles on wind power generation system, including the design of the generator, rectifier inverter circuit, etc.
  3. 所属分类:matlab例程

    • 发布日期:2012-12-01
    • 文件大小:1625250
    • 提供者:向露萍
  1. Dynamic-Modeling-of-Micro-Turbine-Generation-Syst

    0下载:
  2. Utility restructuring, technology evolution, public environmental policy, and expanding power demand are providing the opportunity for microturbines to become important energy resources. In order to investigate the ability of microturbine uni
  3. 所属分类:matlab

    • 发布日期:2017-03-22
    • 文件大小:306921
    • 提供者:proust
  1. zaibo

    0下载:
  2. 基于FPGA的正弦载波发生器,在system generator上设计后生成代码。-DDS generator base on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

  1. system_generator-study

    0下载:
  2. system_generator学习资料 ,利用system generator 仿真硬件设计-system_generator learning materials, hardware design simulation using system generator
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2056273
    • 提供者:宫翔
  1. CP0201

    0下载:
  2. 本代码实现了PPM-TH-UWB系统发生器的matlab仿真。-This code implements the PPM-TH-UWB system generator matlab simulation.
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:4102
    • 提供者:lee
  1. mt

    0下载:
  2. 风力发电系统中基于mt坐标系的发电机模块的建模仿真(matlab/simulink)-Mt-based wind power system generator module coordinate system modeling and simulation (matlab/simulink)
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:7856
    • 提供者:夏田
  1. Waveform-Generator-Based-on-AT89S52

    0下载:
  2. 该设计使用的是AT89S52单片机构成的波形发生器,AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。所以利用其产生
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:435302
    • 提供者:gaojiwei
  1. CIC

    0下载:
  2. CIC算法的FPGA,matlab和System Generator实现。-CIC;FPGA;matlab;System Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:70185
    • 提供者:shkl516
  1. Event-driven-bank-simulation-system

    0下载:
  2. 通过程序动态模拟银行顾客在一家有n>=2个窗口的银行的到达和离开情况。通过计算每位顾客的平均等待时间及每一窗口处于“繁忙”状态的百分比,来测试银行的服务效率。实现中,可以用时间代表银行活动的对象,用事件驱动来模拟这些活动,并以概率(随机数发生器)来描述预期的客户到达率和银行职员为一个顾客服务所需的时间-Bank customers through the process in a dynamic simulation with n> = 2 windows of the bank&#
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-09
    • 文件大小:1216668
    • 提供者:华灵
  1. filter

    0下载:
  2. Digital filter 1-st level project. Xilnx System Generator sources + verilog sources (PlanAhead project). All docs are in archive. Fully work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:201400
    • 提供者:
  1. obnar1

    0下载:
  2. Digital detector (a-type) 1-st level project. Xilnx System Generator sources + verilog sources (PlanAhead project). All docs are in archive. Fully work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:211340
    • 提供者:
  1. obnar3

    0下载:
  2. Digital detector (b-type) 1-st level project. Xilnx System Generator sources + verilog sources (PlanAhead project). All docs are in archive. Fully work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:191445
    • 提供者:
  1. FIR_SysGen

    0下载:
  2. system generator 实现FIR滤波器,使用DA分布式算法-Implementation of FIR filter with DA, using system generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:364382
    • 提供者:孙苑
  1. linedet

    0下载:
  2. Program matlab describe line detection algorithm, we can use System Generator Tool with matlab to implement it in FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14990
    • 提供者:harouni
  1. Mathematical-Operation-of-image-pixel-using-XSG.r

    0下载:
  2. mathematical opering using xilinx system generator
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:572442
    • 提供者:anil
  1. system_generator

    0下载:
  2. FPGA数字信号处理教程-System Generator入门与提高。主要介绍System Generator的用法和基本例子。 -FPGA digital signal processing tutorial-system generator entry and improve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:17986560
    • 提供者:Miss Gao
  1. liushuideng

    0下载:
  2. 使用System Generator建立一个Xilinx FPGASpartan6的流水灯实验。这个博客上有详细的说明。 http://www.openhw.org/wenlong0601/blog/12-02/238496_e3f50.html-Using System Generator to create a Xilinx Spartan6 light water experiment. Are described in detail on this blog. http://www.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:447156
    • 提供者:张文龙
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com